BK condition not satisfied...i'm crazy

This simply means that there is most probably still a timing error. Remember: there is one unique timing of variables in your model. By arbitrarily changing the timing of some variable, you change the eigenvalues and make the model run. But the results will be wrong, because the timing is still not correct. (there is also the slight chance that it is a matter of the parameter values being wrong, but that is quite rare according to my experience).
The standard recommendation is: start with a simple working version and then add features back to the model. Currently you are looking for a needle in a haystack.